Per incrementare la produttività e migliorare la potenza, le prestazioni e l'area (PPA) dei progetti elettronici avanzati, Synopsys, Inc. ha annunciato l'innovativa soluzione ECO golden signoff che affronta i lunghi tempi di chiusura dei progetti ingegneristici. La soluzione Synopsys PrimeClosure combina le soluzioni leader di Synopsys per il signoff degli ordini di modifica ingegneristica (ECO), Synopsys PrimeECO™ e Synopsys Tweaker™ ECO, con innovazioni rivoluzionarie, offrendo i tempi di chiusura ECO più rapidi con un'elevata capacità e una precisione del signoff dorato Synopsys PrimeTime®. I primi clienti hanno ottenuto tempi migliori fino al 45%, potenza migliore fino al 10%, iterazioni ECO fino al 50% in meno e produttività di progettazione fino a 10 volte superiore rispetto ai flussi ECO tradizionali.

Aree di applicazione come data center, mobile, automotive, AI e IoT richiedono obiettivi PPA aggressivi. I nodi di processo avanzati portano nuove regole fisiche e introducono nuovi effetti che hanno un impatto sulla PPA. L'enorme dimensione e complessità dei progetti deep-submicron significa che ogni analisi e ottimizzazione ECO per risolvere i problemi richiede più tempo e consuma più risorse di calcolo.

Gestire questo grande numero di violazioni e guidare la convergenza per ridurlo a zero è una parte importante della sfida ECO. La soluzione Synopsys PrimeClosure, con la sua innovativa funzione di ottimizzazione chirurgica, migliora le metriche di progetto come PPA, tempistica, rete di clock, caduta di tensione, variazione e invecchiamento. La soluzione è strettamente integrata con la soluzione Synopsys Fusion Compiler™ RTL-to-GDSII e con la soluzione di analisi statica dei tempi Synopsys PrimeTime per un'accuratezza dorata del signoff, offrendo un flusso completo che accelera la convergenza del progetto e il time-to-market dei progetti di grandi dimensioni.

Con la sua nuova tecnologia gerarchica gigachip, la soluzione Synopsys PrimeClosure scala senza problemi progetti con più di un miliardo di istanze e centinaia di scenari con un numero relativamente piccolo di macchine, per offrire il più rapido turnaround time (TAT) del settore. La sua tecnologia di potatura ottimizzata passa al setaccio in modo efficiente migliaia di scenari e centinaia di blocchi gerarchici per ridurre il numero di set di dati da ottimizzare, con una conseguente accelerazione del TAT di oltre il 40% e una riduzione della memoria fino al 60%. L'ottimizzazione del progetto dell'ultimo miglio è fondamentale per ottenere un PPA ottimale.

La soluzione Synopsys PrimeClosure ha accesso diretto alle tecnologie di posizionamento, instradamento, estrazione, verifica fisica, controllo dell'equivalenza e signoff, abilitate in modo incrementale, della famiglia Synopsys Digital Design, leader di mercato. La soluzione Synopsys PrimeClosure è integrata con la soluzione Ansys RedHawk-SC per il signoff dell'integrità dell'alimentazione digitale, consentendo un'innovativa soluzione ECO automatizzata per il signoff temporizzato dell'ultima fase, in grado di tenere conto e correggere con precisione fino al 50% delle violazioni della caduta di tensione dinamica dell'ultima fase e di massimizzare l'efficienza energetica senza impattare sulla tempistica del chip. Il cockpit di chiusura del progetto in un unico ambiente assicura che ogni modifica sia completamente implementata e convalidata e crea nuove opportunità per la co-ottimizzazione di posizionamento, instradamento e temporizzazione, per ottenere risultati PPA precedentemente impossibili nei flussi di chiusura del progetto tradizionali.